/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect -8 128 160 144) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "CLK_IN" (rect 5 0 43 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 168 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -64 144 -8 160)) ) (pin (output) (rect 472 488 648 504) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "CLK_OUT" (rect 90 0 139 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 648 504 704 520)) ) (symbol (rect 128 344 192 424) (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) (text "inst2" (rect 3 68 26 80)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 64 24) (output) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (line (pt 52 24)(pt 64 24)) ) (drawing (line (pt 12 12)(pt 52 12)) (line (pt 12 68)(pt 52 68)) (line (pt 12 68)(pt 12 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 288 344 352 424) (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) (text "inst3" (rect 3 68 26 80)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 64 24) (output) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (line (pt 52 24)(pt 64 24)) ) (drawing (line (pt 12 12)(pt 52 12)) (line (pt 12 68)(pt 52 68)) (line (pt 12 68)(pt 12 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 448 344 512 424) (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) (text "inst4" (rect 3 68 26 80)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 64 24) (output) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (line (pt 52 24)(pt 64 24)) ) (drawing (line (pt 12 12)(pt 52 12)) (line (pt 12 68)(pt 52 68)) (line (pt 12 68)(pt 12 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 48 344 112 424) (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 68 26 80)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 64 24) (output) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (line (pt 52 24)(pt 64 24)) ) (drawing (line (pt 12 12)(pt 52 12)) (line (pt 12 68)(pt 52 68)) (line (pt 12 68)(pt 12 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 208 336 272 384) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst12" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 368 336 432 384) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst13" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 528 336 592 384) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst14" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 48 472 112 552) (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) (text "inst5" (rect 3 68 26 80)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 64 24) (output) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (line (pt 52 24)(pt 64 24)) ) (drawing (line (pt 12 12)(pt 52 12)) (line (pt 12 68)(pt 52 68)) (line (pt 12 68)(pt 12 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 16 296 48 312) (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) (text "inst100" (rect 3 5 38 17)(font "Arial" )(invisible)) (port (pt 16 16) (output) (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) (line (pt 16 16)(pt 16 8)) ) (drawing (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 184 104 304 176) (text "clock_divider_1024" (rect 5 0 114 14)(font "Arial" (font_size 8))) (text "inst101" (rect 8 56 43 68)(font "Arial" )) (port (pt 0 32) (input) (text "CLK_IN" (rect 0 0 41 14)(font "Arial" (font_size 8))) (text "CLK_IN" (rect 21 27 62 41)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 120 32) (output) (text "CLK_OUT" (rect -32 0 23 14)(font "Arial" (font_size 8))) (text "CLK_OUT" (rect 154 27 209 41)(font "Arial" (font_size 8))) (line (pt 120 32)(pt 104 32)) ) (drawing (rectangle (rect 16 16 104 56)) ) ) (symbol (rect 184 208 304 280) (text "clock_divider_1024" (rect 5 0 114 14)(font "Arial" (font_size 8))) (text "inst102" (rect 8 56 43 68)(font "Arial" )) (port (pt 0 32) (input) (text "CLK_IN" (rect 0 0 41 14)(font "Arial" (font_size 8))) (text "CLK_IN" (rect 21 27 62 41)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 120 32) (output) (text "CLK_OUT" (rect -32 0 23 14)(font "Arial" (font_size 8))) (text "CLK_OUT" (rect 154 27 209 41)(font "Arial" (font_size 8))) (line (pt 120 32)(pt 104 32)) ) (drawing (rectangle (rect 16 16 104 56)) ) ) (symbol (rect 208 472 272 552) (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) (text "inst6" (rect 3 68 26 80)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 64 24) (output) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (line (pt 52 24)(pt 64 24)) ) (drawing (line (pt 12 12)(pt 52 12)) (line (pt 12 68)(pt 52 68)) (line (pt 12 68)(pt 12 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 368 472 432 552) (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) (text "inst7" (rect 3 68 26 80)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 64 24) (output) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) (line (pt 52 24)(pt 64 24)) ) (drawing (line (pt 12 12)(pt 52 12)) (line (pt 12 68)(pt 52 68)) (line (pt 12 68)(pt 12 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 128 464 192 512) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst15" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 288 464 352 512) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst16" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (connector (pt 160 328) (pt 160 344) ) (connector (pt 200 352) (pt 208 352) ) (connector (pt 200 336) (pt 200 352) ) (connector (pt 192 368) (pt 208 368) ) (connector (pt 128 384) (pt 120 384) ) (connector (pt 120 368) (pt 120 336) ) (connector (pt 200 336) (pt 120 336) ) (connector (pt 272 360) (pt 280 360) ) (connector (pt 320 328) (pt 320 344) ) (connector (pt 280 368) (pt 288 368) ) (connector (pt 280 384) (pt 288 384) ) (connector (pt 352 368) (pt 368 368) ) (connector (pt 360 352) (pt 368 352) ) (connector (pt 280 336) (pt 360 336) ) (connector (pt 360 352) (pt 360 336) ) (connector (pt 432 360) (pt 440 360) ) (connector (pt 480 328) (pt 480 344) ) (connector (pt 440 368) (pt 448 368) ) (connector (pt 440 384) (pt 448 384) ) (connector (pt 440 384) (pt 440 432) ) (connector (pt 280 384) (pt 280 432) ) (connector (pt 120 384) (pt 120 432) ) (connector (pt 80 328) (pt 80 344) ) (connector (pt 32 368) (pt 48 368) ) (connector (pt 80 424) (pt 80 440) ) (connector (pt 160 424) (pt 160 440) ) (connector (pt 320 424) (pt 320 440) ) (connector (pt 480 424) (pt 480 440) ) (connector (pt 520 352) (pt 528 352) ) (connector (pt 520 336) (pt 520 352) ) (connector (pt 512 368) (pt 528 368) ) (connector (pt 592 360) (pt 600 360) ) (connector (pt 440 336) (pt 520 336) ) (connector (pt 80 456) (pt 80 472) ) (connector (pt 40 496) (pt 48 496) ) (connector (pt 80 552) (pt 80 568) ) (connector (pt 120 480) (pt 128 480) ) (connector (pt 120 464) (pt 120 480) ) (connector (pt 112 496) (pt 128 496) ) (connector (pt 192 488) (pt 200 488) ) (connector (pt 240 456) (pt 240 472) ) (connector (pt 200 496) (pt 208 496) ) (connector (pt 200 512) (pt 208 512) ) (connector (pt 272 496) (pt 288 496) ) (connector (pt 280 480) (pt 288 480) ) (connector (pt 200 464) (pt 280 464) ) (connector (pt 280 480) (pt 280 464) ) (connector (pt 352 488) (pt 360 488) ) (connector (pt 400 456) (pt 400 472) ) (connector (pt 360 496) (pt 368 496) ) (connector (pt 360 512) (pt 368 512) ) (connector (pt 240 552) (pt 240 568) ) (connector (pt 400 552) (pt 400 568) ) (connector (pt 40 464) (pt 120 464) ) (connector (pt 200 512) (pt 200 560) ) (connector (pt 360 512) (pt 360 560) ) (connector (pt 24 512) (pt 48 512) ) (connector (pt 360 488) (pt 360 496) ) (connector (pt 432 496) (pt 472 496) ) (connector (pt 280 336) (pt 280 360) ) (connector (pt 280 360) (pt 280 368) ) (connector (pt 112 368) (pt 120 368) ) (connector (pt 120 368) (pt 128 368) ) (connector (pt 440 336) (pt 440 360) ) (connector (pt 440 360) (pt 440 368) ) (connector (pt 32 440) (pt 80 440) ) (connector (pt 80 440) (pt 160 440) ) (connector (pt 160 440) (pt 320 440) ) (connector (pt 32 328) (pt 80 328) ) (connector (pt 80 328) (pt 160 328) ) (connector (pt 160 328) (pt 320 328) ) (connector (pt 200 464) (pt 200 488) ) (connector (pt 200 488) (pt 200 496) ) (connector (pt 40 448) (pt 40 464) ) (connector (pt 40 464) (pt 40 496) ) (connector (pt 24 432) (pt 120 432) ) (connector (pt 120 432) (pt 280 432) ) (connector (pt 280 432) (pt 440 432) ) (connector (pt 32 312) (pt 32 328) ) (connector (pt 32 328) (pt 32 368) ) (connector (pt 24 512) (pt 24 560) ) (connector (pt 32 568) (pt 80 568) ) (connector (pt 32 368) (pt 32 440) ) (connector (pt 32 440) (pt 32 456) ) (connector (pt 32 456) (pt 32 568) ) (connector (pt 32 456) (pt 80 456) ) (connector (pt 24 384) (pt 24 432) ) (connector (pt 24 432) (pt 24 512) ) (connector (pt 24 560) (pt 200 560) ) (connector (pt 200 560) (pt 360 560) ) (connector (pt 80 568) (pt 240 568) ) (connector (pt 240 568) (pt 400 568) ) (connector (pt 80 456) (pt 240 456) ) (connector (pt 240 456) (pt 400 456) ) (connector (pt 40 448) (pt 600 448) ) (connector (pt 320 440) (pt 480 440) ) (connector (pt 320 328) (pt 480 328) ) (connector (pt 600 448) (pt 600 360) ) (connector (pt 0 288) (pt 0 384) ) (connector (pt 0 384) (pt 24 384) ) (connector (pt 24 384) (pt 48 384) ) (connector (pt 184 136) (pt 160 136) ) (connector (pt 304 240) (pt 320 240) ) (connector (pt 320 136) (pt 304 136) ) (connector (pt 320 136) (pt 320 192) ) (connector (pt 320 192) (pt 168 192) ) (connector (pt 184 240) (pt 168 240) ) (connector (pt 168 240) (pt 168 192) ) (connector (pt 320 288) (pt 320 240) ) (connector (pt 0 288) (pt 320 288) ) (junction (pt 280 360)) (junction (pt 120 368)) (junction (pt 440 360)) (junction (pt 80 440)) (junction (pt 160 440)) (junction (pt 320 440)) (junction (pt 80 328)) (junction (pt 160 328)) (junction (pt 320 328)) (junction (pt 200 488)) (junction (pt 40 464)) (junction (pt 120 432)) (junction (pt 280 432)) (junction (pt 200 560)) (junction (pt 32 328)) (junction (pt 32 368)) (junction (pt 32 440)) (junction (pt 24 512)) (junction (pt 24 432)) (junction (pt 80 568)) (junction (pt 240 568)) (junction (pt 80 456)) (junction (pt 32 456)) (junction (pt 240 456)) (junction (pt 24 384))