/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, the Altera Quartus Prime License Agreement, the Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect 144 184 312 200) (text "INPUT" (rect 133 0 174 11)(font "Arial" (font_size 6))) (text "A" (rect 5 0 13 14)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 168 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 160 18)(font "Arial" (font_size 6))) (annotation_block (location)(rect 48 200 144 232)) ) (pin (input) (rect 144 256 312 272) (text "INPUT" (rect 133 0 174 11)(font "Arial" (font_size 6))) (text "B" (rect 5 0 13 14)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 168 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 160 18)(font "Arial" (font_size 6))) (annotation_block (location)(rect 48 272 144 304)) ) (pin (output) (rect 496 216 672 232) (text "OUTPUT" (rect 1 0 50 11)(font "Arial" (font_size 6))) (text "C" (rect 90 0 98 14)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) ) (symbol (rect 392 200 456 248) (text "AND2" (rect 1 0 34 11)(font "Arial" (font_size 6))) (text "inst" (rect 3 37 36 51)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 21)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 21)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 37)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 37)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 29)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 29)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (connector (pt 360 264) (pt 312 264) ) (connector (pt 360 264) (pt 360 232) ) (connector (pt 360 192) (pt 360 216) ) (connector (pt 360 216) (pt 392 216) ) (connector (pt 360 232) (pt 392 232) ) (connector (pt 312 192) (pt 360 192) ) (connector (pt 456 224) (pt 496 224) )