/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect 0 96 168 112) (text "INPUT" (rect 133 -1 161 9)(font "Arial" (font_size 6))) (text "A" (rect 63 1 72 15)(font "Arial" (font_size 8))) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 168 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 0 288 168 304) (text "INPUT" (rect 133 -1 161 9)(font "Arial" (font_size 6))) (text "B" (rect 64 1 72 15)(font "Arial" (font_size 8))) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 168 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 0 504 168 520) (text "INPUT" (rect 133 -1 161 9)(font "Arial" (font_size 6))) (text "C" (rect 64 1 72 15)(font "Arial" (font_size 8))) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 168 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (output) (rect 776 312 952 328) (text "OUTPUT" (rect 1 -1 39 9)(font "Arial" (font_size 6))) (text "F" (rect 90 0 97 14)(font "Arial" (font_size 8))) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) ) (symbol (rect 448 472 512 520) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst" (rect 3 37 20 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 16 16)) ) (port (pt 0 24) (input) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (line (pt 0 24)(pt 16 24)) ) (port (pt 0 32) (input) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 16 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 43 24)(pt 64 24)) ) (drawing (line (pt 16 12)(pt 31 12)) (line (pt 16 37)(pt 31 37)) (line (pt 16 12)(pt 16 37)) (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)) ) ) (symbol (rect 440 360 504 408) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 16 16)) ) (port (pt 0 24) (input) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (line (pt 0 24)(pt 16 24)) ) (port (pt 0 32) (input) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 16 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 43 24)(pt 64 24)) ) (drawing (line (pt 16 12)(pt 31 12)) (line (pt 16 37)(pt 31 37)) (line (pt 16 12)(pt 16 37)) (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)) ) ) (symbol (rect 440 240 504 288) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst2" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 16 16)) ) (port (pt 0 24) (input) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (line (pt 0 24)(pt 16 24)) ) (port (pt 0 32) (input) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 16 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 43 24)(pt 64 24)) ) (drawing (line (pt 16 12)(pt 31 12)) (line (pt 16 37)(pt 31 37)) (line (pt 16 12)(pt 16 37)) (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)) ) ) (symbol (rect 440 112 504 160) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst3" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 16 16)) ) (port (pt 0 24) (input) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible)) (line (pt 0 24)(pt 16 24)) ) (port (pt 0 32) (input) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 16 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 43 24)(pt 64 24)) ) (drawing (line (pt 16 12)(pt 31 12)) (line (pt 16 37)(pt 31 37)) (line (pt 16 12)(pt 16 37)) (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)) ) ) (symbol (rect 680 280 744 360) (text "OR4" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst4" (rect 3 69 26 81)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 0 48) (input) (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible)) (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible)) (line (pt 0 48)(pt 15 48)) ) (port (pt 0 64) (input) (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible)) (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible)) (line (pt 0 64)(pt 13 64)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)) ) (port (pt 64 40) (output) (text "OUT" (rect 48 31 65 43)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 31 65 43)(font "Courier New" (bold))(invisible)) (line (pt 48 40)(pt 64 40)) ) (drawing (line (pt 13 67)(pt 13 51)) (line (pt 25 52)(pt 13 52)) (line (pt 13 12)(pt 13 29)) (line (pt 13 29)(pt 25 29)) (arc (pt 7 45)(pt 7 35)(rect -14 24 19 57)) (arc (pt 49 40)(pt 25 29)(rect -6 29 57 92)) (arc (pt 25 51)(pt 49 40)(rect -6 -11 57 52)) ) ) (symbol (rect 200 496 248 528) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst5" (rect 3 21 26 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 200 280 248 312) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst6" (rect 3 21 26 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 200 88 248 120) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst7" (rect 3 21 26 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (connector (pt 248 104) (pt 376 104) ) (connector (pt 272 136) (pt 440 136) ) (connector (pt 280 144) (pt 440 144) ) (connector (pt 184 448) (pt 280 448) ) (connector (pt 168 512) (pt 184 512) ) (connector (pt 184 512) (pt 200 512) ) (connector (pt 616 296) (pt 680 296) ) (connector (pt 504 136) (pt 616 136) ) (connector (pt 744 320) (pt 776 320) ) (connector (pt 376 256) (pt 440 256) ) (connector (pt 376 128) (pt 440 128) ) (connector (pt 168 296) (pt 184 296) ) (connector (pt 184 296) (pt 200 296) ) (connector (pt 280 272) (pt 440 272) ) (connector (pt 504 264) (pt 600 264) ) (connector (pt 600 312) (pt 680 312) ) (connector (pt 184 192) (pt 344 192) ) (connector (pt 168 104) (pt 184 104) ) (connector (pt 184 104) (pt 200 104) ) (connector (pt 272 384) (pt 440 384) ) (connector (pt 248 296) (pt 272 296) ) (connector (pt 280 392) (pt 440 392) ) (connector (pt 344 488) (pt 448 488) ) (connector (pt 344 376) (pt 440 376) ) (connector (pt 368 496) (pt 448 496) ) (connector (pt 184 264) (pt 368 264) ) (connector (pt 368 264) (pt 440 264) ) (connector (pt 248 512) (pt 392 512) ) (connector (pt 392 504) (pt 448 504) ) (connector (pt 504 384) (pt 600 384) ) (connector (pt 600 328) (pt 680 328) ) (connector (pt 608 344) (pt 680 344) ) (connector (pt 512 496) (pt 608 496) ) (connector (pt 184 512) (pt 184 448) ) (connector (pt 616 296) (pt 616 136) ) (connector (pt 376 256) (pt 376 128) ) (connector (pt 376 128) (pt 376 104) ) (connector (pt 184 296) (pt 184 264) ) (connector (pt 280 272) (pt 280 144) ) (connector (pt 600 312) (pt 600 264) ) (connector (pt 184 192) (pt 184 104) ) (connector (pt 272 384) (pt 272 296) ) (connector (pt 272 296) (pt 272 136) ) (connector (pt 280 448) (pt 280 392) ) (connector (pt 280 392) (pt 280 272) ) (connector (pt 344 488) (pt 344 376) ) (connector (pt 344 376) (pt 344 192) ) (connector (pt 368 496) (pt 368 264) ) (connector (pt 392 512) (pt 392 504) ) (connector (pt 600 384) (pt 600 328) ) (connector (pt 608 496) (pt 608 344) ) (junction (pt 184 512)) (junction (pt 376 128)) (junction (pt 184 296)) (junction (pt 280 272)) (junction (pt 184 104)) (junction (pt 272 296)) (junction (pt 280 392)) (junction (pt 344 376)) (junction (pt 368 264))