/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "symbol" (version "1.2")) (symbol (rect 16 16 112 112) (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8))) (text "inst" (rect 8 80 25 92)(font "Arial" )) (port (pt 0 32) (input) (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8))) (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8))) (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "Ci" (rect 0 0 10 14)(font "Arial" (font_size 8))) (text "Ci" (rect 21 59 31 73)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)) ) (port (pt 96 32) (output) (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8))) (text "SUM" (rect 100 27 125 41)(font "Arial" (font_size 8))) (line (pt 96 32)(pt 80 32)) ) (port (pt 96 48) (output) (text "Co" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "Co" (rect 90 43 105 57)(font "Arial" (font_size 8))) (line (pt 96 48)(pt 80 48)) ) (drawing (rectangle (rect 16 16 80 80)) ) )